1. Home >
  2. Computing

Intel, ASML Announce 'First Light' for World's First High-NA Lithography Machine

Intel is the first foundry to plunk down a truckload of cash for ASML's most advanced chip manufacturing machines.
By Josh Norem
ASML EUV
Credit: ASML

Somewhere in the Netherlands recently, an engineer flipped a switch, and everyone in the room held their breath. The scenario is an exciting one, as Dutch company ASML—which makes the world's most advanced machines used for lithography—fired up the first of its high-NA (numerical aperture) machines to ensure it was working correctly. Intel is also along for the ride, as it's the first foundry in the world to have placed an order for one, and the two companies have jointly announced a successful "first light" achievement using high-NA. It's a watershed moment for the semiconductor industry and the beginning of a new era of chip-making.

ASML announced it had achieved "first light" using its new High-NA machine this week, which means it's functioning correctly but not fully operational yet. Intel also confirmed the news in a tech conference discussion, according to Reuters. There are currently only two high-NA machines in the world: one in the Netherlands in ASML's facility and one being assembled at Intel's Oregon fab. The "first light" achievement means the machine's light sources and mirrors have been aligned properly to focus them onto a wafer, or "first light on wafer in resist," according to ASML.

ASML High-NA
This TWINSCAN EXE:5000 gives you an idea of how complex these machines are when fully-assembled. Credit: ASML

This is a significant achievement for the new machines, which are named Twinscan EXE:5000 according to Tom's Hardware. They can achieve a single-exposure resolution of 8nm compared with 13.5nm with existing EUV systems, which reduces the number of passes required to make a mask, thereby increasing wafer output and reducing the chances for defects to occur by requiring fewer exposures. These new high-NA machines are expected to become the new standard for advanced chip-making in the coming years. However, Samsung and TSMC have yet to commit to adopting them as they require reconfiguring existing fabs to accommodate their light sources, and they also reportedly cost almost $400 million each.

Intel is reportedly assembling its first high-NA machine in Oregon and has already stated it will put it into production when Intel 14A arrives in 2026. Between now and then, it'll be taking its time to assemble the gigantic device, which makes us want to examine the assembly manual from ASML. TSMC reportedly plans on using its existing Low-NA EUV machinery for its 2nm and 1.4nm process nodes, then switching to High-NA when it arrives at 1nm many years from now. This could give Intel a significant advantage in its effort to topple TSMC from its perch atop the foundry business. However, that's assuming Intel can get it working correctly with satisfactory yields in a few years.

Tagged In

Semiconductors

More from Computing

Subscribe Today to get the latest ExtremeTech news delivered right to your inbox.
This newsletter may contain advertising, deals, or affiliate links. Subscribing to a newsletter indicates your consent to our Terms of use(Opens in a new window) and Privacy Policy. You may unsubscribe from the newsletter at any time.
Thanks for Signing Up